Cadence Virtuoso库管理

将模拟库copy到工作目录下 再新添一个库 选择具体的库,在文件夹级别选择。这里有一个红色禁止符号,不知道是干什么的,不用管它,直接选上。

cadence验证仿真工具IUS和IES

cadence,有两大验证仿真工具。一个是IUS,一个是IES。 IUS是cadence以前的仿真工具,功能略弱。代表工具,ncverilog。 官方介绍: IUS(incisive unified simulator)Cadence IUS allows to perform behavioral simulation on Verilog and VHDL code. IES是cadence现 ... 阅读更多

芯片设计EDA软件启动命令

Synopsys有以下软件: Formality2016:形式验证 Hspice2016: 模拟 ICC2016:布局布线 Laker2015:数模混合,全定制 PrimeTime2015:静态时序 StarRC2015:寄生参数 Synplify2015:Design Compiler逻辑综合工具,现已更名,命令几乎不变。 VCS2014:由于VCS2016仿sv时会出现runtime ... 阅读更多

EDA虚拟系统安装使用

EDA虚拟系统安装使用 采用最新虚拟软件VMware12 Pro安装的EDA虚拟机系统,操作系统使用红帽企业版。集成了Synopsys、Cadence、Mentor等主流软件,以及一个ADS数模混合仿真软件。内置台积电90ns和中芯国际180ns的前端和后端所有的工艺库。 VMware后的版本只支持64位,不再提供32位版本。本虚拟系统安装的是Red Hat Enterprise Linux 6. ... 阅读更多